Oloa

10M08SAU169C8G Fa'afeso'ota'i le 'au'aunaga fa'atau(21+fa'atauga)

Fa'amatalaga Puupuu:

Boyad Vaega Numera :544-3135-ND
gaosi oloa: Intel
Numera oloa gaosi oloa:10M08SAU169C8G
faamatala : IC FPGA 130 I/O 169UBGA
Fa'amatalaga Auiliili: Fa'asologa Fa'atonu Fa'atonu Faitoto'a (FPGA) IC 130 387072 8000 169-LFBGA
Numera Vaega Totonu o Tagata Fa'atau
Fa'amatalaga: Fa'amatalaga


Fa'amatalaga Oloa

Faailoga o oloa

meatotino oloa

ITIGA FA'amatala
vaega Vaega Fa'atasi (IC)
Fa'apipi'i - FPGA (Fa'ailoga Faitotoa e mafai ona fa'apolokalameina i fanua)
gaosimea Intel
fa'asologa MAX® 10
afifi fata
tulaga o oloa e tele
Numera ole LAB/CLB 500
Numera o elemene/iunite 8000
Aofa'i vaega o le RAM 387072
I/O faitau 130
Malosi - Malosi 2.85V ~ 3.465V
ituaiga fa'apipi'i Ituaiga Mauga i luga
O le vevela o galuega 0°C ~ 85°C (TJ)
Paketi/Papa 169-LFBGA
Fa'atau Mea Fa'apipi'i 169-UBGA (11x11)

lipoti se bug
Su'esu'ega Parametric Fou

Fa'amaumauga ma Fa'asalalauga

TUSI FA'A'OA'OGA SOSO'OGA
Fa'amatalaga MAX 10 FPGA Va'aiga lautele MAX 10 FPGA Pepa Fa'amatalaga Meafaitino
Module aʻoaʻoga oloa MAX10 Pulea afi e fa'aaoga ai le FPGA e le-Volatile e tasi-Chip Low-Cost Non-Volatile  MAX10 Fa'avae Fa'atonu Fa'atonu
Oloa Fa'apitoa T-Core PlatformEvo M51 Compute Module Hinj™ FPGA Sensor Hub ma Atina'e pusa XLR8: Arduino Compatible FPGA Development Board
PCN Design/Fa'amatalaga Max10 Pin Guide 3/Tes/2021Mult Dev Software Chgs 3/Iun/2021
PCN afifi Mult Dev Label Chgs 24/Feb/2020Mult Dev Label CHG 24/Ian/2020
HTML Fa'amatalaga MAX 10 FPGA Va'aiga lauteleMAX 10 FPGA Pepa Fa'amatalaga Meafaitino
EDA/CAD fa'ata'ita'iga 10M08SAU169C8G e SnapEDA

Fa'avasegaina o le Si'osi'omaga ma le Fa'atau Atu

UIGA FA'amatala
tulaga RoHS RoHS tausisia
La'asaga o le Susū (MSL) 3 (168 itula)
tulaga REACH oloa e le o REACH
ECCN 3A991D
HTSUS 8542.39.0001

Fa'apipi'i Fa'atele ma Fa'atekonolosi Fa'agaio'iga Lagolago
E oʻo atu i le 17 faʻaoga tasi faʻaiʻu fafo
mo masini ADC tasi
Tasi le analog fa'apitoa ma le 16 pine fa'aoga lua
E oʻo atu i le 18 faʻaoga tasi faʻaiʻu fafo
mo masini ADC lua
• Tasi se analog fa'apitoa ma ni pine fa'aoga lua e valu i poloka ADC ta'itasi
• Fa'atasi le gafatia o fua mo masini ADC lua
I luga o le masini masini masini mata'ituina fa'amatalaga fa'amatalaga o le vevela i fafo ma se fua fa'ata'ita'i e o'o atu i le 50
kilosample i le sekone
Fa'aoga Flash Memory
O le fa'aogaina o le flash memory (UFM) poloka i le Intel MAX 10 masini e teu ai mea e le fa'afefe
fa'amatalaga.
UFM e maua ai se fofo lelei e teu ai e mafai ona e mauaina i le faʻaogaina o le Avalon Memory Mapped (Avalon-MM) faʻasologa o fesoʻotaʻiga pologa.
Fa'apipi'i Fa'atele ma Fa'atekonolosi Fa'agaio'iga Lagolago
Intel MAX 10 masini lagolago e oʻo atu i le 144 faʻapipiʻi faʻateleina poloka.O poloka taitasi
lagolagoina se tasi tagata 18 × 18-bit fa'atele po'o lua ta'ito'atasi 9 × 9-bit fa'atele.
Faatasi ai ma le tuʻufaʻatasia o punaoa i luga ole masini ma fesoʻotaʻiga i fafo ile Intel MAX 10
masini, e mafai ona e fausia faiga DSP ma maualuga faatinoga, tau faiga tau maualalo, ma maualalo
fa'aaogāga eletise.
E mafai ona e fa'aogaina le Intel MAX 10 masini na'o oe po'o le DSP masini co-processor i
fa'aleleia le tau-i-fa'atinoga fa'atatau o faiga DSP.
E mafai ona e pulea le faʻaogaina o poloka faʻateleina faʻapipiʻi e faʻaaoga ai mea nei
filifiliga:
• Fa'ata'atia le fa'asinomaga IP talafeagai ma le fa'atonu fa'atonuga ole Intel Quartus Prime
• Fa'ailoga sa'o le fa'atele ile VHDL po'o le Verilog HDL
Faiga fa'atulagaina o faiga fa'apitoa mo masini Intel MAX 10:
• DSP IP cores:
— O galuega fa'atino masani a le DSP e pei o le fa'ai'uga o le tali atu (FIR), vave
Fa'aliliuga Fourier (FFT), ma oscillator pulea numera (NCO) galuega
- Suega o galuega masani o vitio ma ata
• Fa'ato'a fa'ata'ita'iga mo talosaga fa'ai'u maketi
• DSP Builder mo Intel FPGAs meafaigaluega fa'afeso'ota'i i le va o le Intel Quartus Prime
polokalame ma le MathWorks Simulink ma le MATLAB mamanu siosiomaga
• Pusa atinae a le DSP
Fa'apipi'i poloka manatua
O le fa'atulagaga manatua fa'apipi'i e aofia ai koluma poloka poloka M9K.M9K ta'itasi
poloka manatua o se masini Intel MAX 10 e maua ai le 9 Kb ole manatua i luga ole masini e mafai
galue i luga o le 284 MHz.O le faʻapipiʻiina o mafaufauga faʻapitoa e aofia ai le M9K
poloka manatua koluma.O poloka manatua M9K ta'itasi o se masini Intel MAX 10 e maua
9 Kb ole manatua ile chip.E mafai ona e fa'asolo atu poloka manatua ina ia lautele pe loloto
fausaga fa'atatau.
E mafai ona e fetuutuunai poloka manatua M9K e pei o le RAM, FIFO buffers, poʻo le ROM.
O poloka manatua masini Intel MAX 10 ua sili ona lelei mo talosaga e pei o le maualuga
fa'apipi'i fa'asologa o pepa, polokalame fa'apipi'i, ma fa'amaumauga fa'apipi'i
teuina.


  • Muamua:
  • Sosoo ai:

  • Tuu lau Feau

    Oloa Fa'atatau

    Tuu lau Feau