Oloa

SPC5604BF2MLH4(Tulafono o taʻavale e le lava sapalai)

Fa'amatalaga Puupuu:

Numera Vaega o Boyad:SPC5604BF2MLH4-ND

gaosi oloa:NXP USA Inc.

Numera oloa gaosi oloa:SPC5604BF2MLH4

fa'amatala: IC MCU 32BIT 512KB FLASH 64LQFP

Taimi tu'uina muamua falegaosimea: 39 vaiaso

Auiliiliga Fa'amatalaga:e200z0h fa'asologa microcontroller IC 32-bit tasi autu 48MHz 512KB (512K x 8) Flash 64-LQFP (10×10)

Numera Vaega Totonu o Tagata Fa'atau

 


Fa'amatalaga Oloa

Faailoga o oloa

mea tau oloa:

ITIGA FA'amatala
vaega Vaega Fa'atasi (IC) Fa'amau - Microcontrollers
gaosimea NXP USA Inc.
fa'asologa MPC56xx Qorivva
afifi fata
tulaga o oloa e tele
autu processor e200z0h
Kernel faʻamatalaga 32-bit tasi autu
saoasaoa 48MHz
Feso'ota'i CANbus, I²C, LIN, SCI, SPI
Peripherals DMA, POR, PWM, WDT
Numera o I/O 45
Polokalama e teu ai le gafatia 512KB(512K x 8)
Ituaiga manatua polokalame moli
EEPROM gafatia 64K x 8
tele RAM 32K x 8
Malosi - Sapalai Malosi (Vcc/Vdd) 3V ~ 5.5V
fa'aliliuga fa'amatalaga A/D 12x10b
Ituaiga Oscillator totonu
O le vevela o galuega -40°C ~ 125°C (TA)
ituaiga fa'apipi'i Ituaiga Mauga i luga
Paketi/Papa 64-LQFP
Fa'atau Mea Fa'apipi'i 64-LQFP(10x10)
Numera oloa autu SPC5604

Fa'avasegaina o le Si'osi'omaga ma le Fa'atau Atu:

UIGA FA'amatala
tulaga RoHS E tusa ai ma le ROHS3 faʻamatalaga
La'asaga o le Susū (MSL) 3 (168 itula)
tulaga REACH oloa e le o REACH
SOLA 3A991A2
HTSUS 8542.31.0001

Fa'amatalaga lautele:
aoteleina galuega a poloka uma o loʻo iai i le MPC5604B/C faʻasologa o microcontrollers.
Faamolemole ia matau o le i ai ma le numera o poloka e eseese i masini ma afifi
Fa'aliliuga fa'atusa-i-numera (ADC) Fa'aliga tele, 10-bit fa'aliliu analog-i-numera.
Boot assist module (BAM) O se poloka o manatua faitau na'o lo'o iai le code VLE e fa'atino e tusa ai
i le faiga fa'avae o le masini
Uati mata'itu iunite (CMU) Mata'ituina puna o le uati (i totonu ma fafo) amiosa'o
Cross triggering unit (CTU) Fa'agaoioi le fa'amaopoopoina o suiga o le ADC ma se fa'asologa o taimi mai le eMIOS.
pe mai le PIT
Deserial serial peripheral interface
(DSPI)
Tuuina atu se fesoʻotaʻiga faʻatasi mo fesoʻotaʻiga ma masini fafo
Fa'atonu Fa'asa'oga Fa'atonu Fa'atonu
(ECSM)
E maua ai le tele o galuega fa'atonutonu eseese mo le masini e aofia ai
faʻamatalaga vaʻaia polokalame e uiga i le faʻatulagaina ma le toe iloiloga, se tulaga toe faʻaleleia
resitala, fafagu fafagu mo le alu ese mai faiga moe, ma vaega e filifili e pei o
fa'amatalaga i mea sese manatua na lipotia mai e tulafono fa'asa'o mea sese
Fa'aleleia le Avanoa Tu'u Sa'o
(eDMA)
Fa'atino fe'avea'i fa'amatalaga lavelave ma sina fa'alavelave mai se fa'agaioiga talimalo
e ala i ala “n” e mafai ona faapolokalameina.
Fa'aleleia mea fa'aoga fa'aoga fa'apitoa
faiga (eMIOS)
Tuuina atu le faʻatinoga e faʻatupu pe fua ai mea tutupu
Flash memory Tuuina atu le teuina e le mafai ona faʻafefe mo code polokalame, tumau ma fesuiaiga
FlexCAN (feso'ota'iga i le eria fa'atonu) E lagolagoina le fa'asologa masani o feso'ota'iga CAN
Fa'asao-modulated
vaega loka loka (FMPLL)
Fa'atupu uati fa'apolokalame fa'apolokalame fa'apolokalame
fa'atonuga
Fa'atotonuga tele (IMUX) SIU
poloka laiti
Fa'ataga fetu'utu'una'i fa'afanua o feso'ota'iga pito i luga o pine 'ese'ese o le masini
Inter-integrated circuit (I2C™) pasi O se pasi fa'asologa fa'asolosolo e lua uaea e maua ai se auala faigofie ma lelei e
fa'amatalaga fa'amatalaga i le va o masini
Pulea fa'alavelave (INTC) Tuuina atu le fa'amuamua e fa'atatau i le fa'atulagaina o talosaga fa'alavelave
JTAG Pule Tuuina atu auala e su'e ai galuega faatino ma feso'ota'iga a'o totoe
manino i faiga fa'aoga pe a le o iai i le faiga su'ega
LINFlex controller Puleaina se numera maualuga o fe'au LIN (Local Interconnect Network protocol).
lelei ma le itiiti ifo o uta CPU
Fausia le uati module
(MC_CGM)
Tuuina atu manatu ma le pulea e manaʻomia mo le faʻatupuina o faiga ma peripheral
uati
Mode entry module (MC_ME) Tuuina atu se masini mo le faʻatonutonuina o le faʻaogaina o le masini ma le faʻaogaina
fa'asologa o suiga i tulaga galue uma;pulea fo'i le vaega e pulea le mana,
toe setiina le fa'atupuina module ma le uati fa'atupuina module, ma umia le
fetuutuunai, pulea ma tulaga resitala avanoa mo talosaga
Vaega e pulea le malosi (MC_PCU) Faʻaitiitia le aofaʻi o le eletise e ala i le motusia o vaega o le masini
mai le sapalai eletise e ala i se masini sui eletise;vaega o masini e
tu'ufa'atasia i vaega e ta'ua o "power domains" lea e pulea e le PCU
Toe seti le fa'atupuina module
(MC_RGM)
Centralizes toe seti puna ma pulea le faasologa toe setiina masini o le masini.


  • Muamua:
  • Sosoo ai:

  • Tuu lau Feau

    Oloa Fa'atatau

    Tuu lau Feau