Oloa

SPC5604PEF1MLQ6(Fa'ailoga ta'avale muamua)

Fa'amatalaga Puupuu:

Numera Vaega o Boyad: 568-14148-ND

gaosiga:NXP USA Inc.

Numera oloa gaosi oloa:SPC5604PEF1MLQ6

fa'amatala: IC MCU 32BIT 512KB FLASH 144LQFP

Taimi tu'uina muamua falegaosimea: 52 vaiaso

Fa'amatalaga Auiliili:e200z0h fa'asologa microcontroller IC 32-bit tasi autu 64MHz 512KB (512K x 8) Flash 144-LQFP (20×20)

Numera Vaega Totonu o Tagata Fa'atau

Fa'amatalaga:Fa'amatalaga


Fa'amatalaga Oloa

Faailoga o oloa

mea tau oloa:

ITIGA FA'amatala
vaega Vaega Fa'atasi (IC) Fa'amau - Microcontrollers
gaosimea NXP USA Inc.
fa'asologa MPC56xx Qorivva
afifi fata
tulaga o oloa e tele
autu processor e200z0h
Kernel faʻamatalaga 32-bit tasi autu
saoasaoa 64MHz
Feso'ota'i CANbus,FlexRay,LINbus,SPI,UART/USART
Peripherals DMA, POR, PWM, WDT
Numera o I/O 108
Polokalama e teu ai le gafatia 512KB(512K x 8)
Ituaiga manatua polokalame moli
EEPROM gafatia 64K x 8
tele RAM 40K x 8
Malosi - Sapalai Malosi (Vcc/Vdd) 3V ~ 5.5V
fa'aliliuga fa'amatalaga A/D 30x10b
Ituaiga Oscillator totonu
O le vevela o galuega -40°C ~ 125°C (TA)
ituaiga fa'apipi'i Ituaiga Mauga i luga
Paketi/Papa 144-LQFP
Fa'atau Mea Fa'apipi'i 144-LQFP(20x20)
Numera oloa autu SPC5604

Fa'avasegaina o le Si'osi'omaga ma le Fa'atau Atu:

UIGA FA'amatala
tulaga RoHS E tusa ai ma le ROHS3 faʻamatalaga
La'asaga o le Susū (MSL) 3 (168 itula)
tulaga REACH oloa e le o REACH
SOLA 3A991A2
HTSUS 8542.31.0001

MPC5604P faasologa poloka otootoga:
Poloka Galuega
Fa'aliliuga fa'atusa-i-numera (ADC) Fa'aliga tele, 10-bit fa'aliliu analog-i-numera.
Fa'avae fesoasoani module (BAM) Poloka o le manatua faitau na'o lo'o iai le VLE code lea e fa'atino e tusa ai ma
le faiga fa'avae o le masini
Fausia le uati module
(MC_CGM)
Tuuina atu manatu ma le pulea e manaʻomia mo le faʻatupuina o faiga ma peripheral
uati
Feso'ota'iga a le eria Pule (FlexCAN) E lagolagoina le fa'asologa masani o feso'ota'iga CAN
Cross triggering unit (CTU) Fa'agaoioi le fa'amaopoopoina o suiga o le ADC ma se fa'asologa o taimi mai le eMIOS.
pe mai le PIT
Crossbar ki (XBAR) Lagolagoina soofaatasi i le va o matai matai uafu e lua ma pologa e tolu
uafu;lagolagoina le 32-bit address pasi lautele ma le 32-bit data pasi lautele
Si'osi'omaga redundancy siaki (CRC) CRC siaki siaki generator
Deserial serial peripheral interface
(DSPI)
Tuuina atu se fesoʻotaʻiga faʻatasi mo fesoʻotaʻiga ma masini fafo
Fa'aleleia avanoa manatua sa'o
(eDMA)
Fa'atino fe'avea'i fa'amatalaga lavelave ma sina fa'alavelave mai se fa'agaioiga talimalo
e ala i ala “n” e mafai ona faapolokalameina
Fa'aleleia le taimi (eTimer) Tuuina atu le fa'aopoopoina o le fa'atulagaina o luga/lalo modulo faitau
Fa'atonu tulaga fa'asa'o mea sese
(ECSM)
E maua ai le tele o galuega fa'atonutonu eseese mo le masini e aofia ai
faʻamatalaga vaʻaia polokalame e uiga i le faʻatulagaina ma le toe iloiloga, se toe faʻaleleia
resitara tulaga, pulea fafagu mo le alu ese mai faiga moe, ma vaega e filifili ai
e pei o faʻamatalaga i mea sese manatua na lipotia e tulafono faʻasaʻo-faʻasaʻo
Oscillator i fafo (XOSC) Tuuina atu se uati fa'aoga e fa'aaogaina e fai ma fa'asinomaga mo FMPLL_0 po'o le fa'asinomaga.
uati mo modules faapitoa e faalagolago i manaoga faiga
Fault collection unit (FCU) Tuuina atu le saogalemu o galuega i le masini
Flash memory Tuuina atu le teuina e le mafai ona faʻafefe mo code polokalame, tumau ma fesuiaiga
Fa'asao-modulated
vaega loka loka (FMPLL)
Fa'atupu uati fa'apolokalame fa'apolokalame fa'apolokalame
fa'atonuga
Pulea fa'alavelave (INTC) Tuuina atu le fa'amuamua e fa'atatau i le fa'atulagaina o talosaga fa'alavelave
JTAG Pule Tuuina atu auala e su'e ai galuega faatino ma feso'ota'iga a'o totoe
manino i faiga fa'aoga pe a le o iai i le faiga su'ega
LINFlex controller Puleaina se numera maualuga o fe'au LIN (Local Interconnect Network protocol).
lelei ma le uta maualalo ile CPU
Mode entry module (MC_ME) Tuuina atu se masini mo le faʻatonutonuina o le faʻaogaina o le masini ma le faʻaogaina
fa'asologa o suiga i tulaga galue uma;pulea fo'i le vaega e pulea le mana,
toe setiina le fa'atupuina module ma le uati fa'atupuina module, ma umia le
fetuutuunai, pulea ma tulaga resitala avanoa mo talosaga
Taimi fa'alavelave fa'avaitaimi (PIT) Fa'atupu fa'alavelave fa'avaitaimi ma fa'aoso
Alalaupapa pito i luga (PBRIDGE) Feso'ota'iga i le va o le pasi fa'aoga ma mea fa'apipi'i
Vaega e pulea le malosi (MC_PCU) Faʻaitiitia le aofaʻi o le eletise e ala i le motusia o vaega o le masini
mai le sapalai eletise e ala i se masini sui eletise;vaega o masini e
tu'ufa'atasia i vaega e ta'ua o "power domains" lea e pulea e le PCU


  • Muamua:
  • Sosoo ai:

  • Tuu lau Feau

    Oloa Fa'atatau

    Tuu lau Feau